ASM Ships 100th PulsarĀ® ALD Tool

Alleen voor leden beschikbaar, wordt daarom gratis lid!

Algemeen advies 15/07/2008 16:40
ASM International N.V. (NASDAQ: ASMI and Euronext Amsterdam: ASM), announced that it has delivered its 100th PulsarĀ® atomic layer deposition (ALD) process module. This milestone positions Pulsar as the industry-leading ALD platform, installed in over 30 fabs worldwide for high volume manufacturing, pilot production and process development. Further highlighting its leadership within this market, Semiconductor International recently named the Pulsar 3000 a 2008 'Editor's Choice Best Product.'

"Pulsar was first to market with its breakthrough technology, and is running in volume production for high-k gates and for several other applications," explained Peo Hansson, general manager of ASM America, Inc. "ASM's ability to deliver a variety of process applications and simplify integration has driven Pulsar's adoption and established ASM as the clear leader in ALD technology."

Films available for Pulsar include hafnium- and zirconium-based oxide films for high-k gates, lanthanum oxide and aluminum oxide for dielectric caps needed to tune metal gate work function and high deposition rate aluminum oxide for flash inter-poly dielectrics. In addition to high-k films for logic and flash gate stacks, the flexibility of the Pulsar is further evidenced by its ALD processes for magnetic read/write (R/W) heads, ferroelectric RAM (FeRAM), microelectromechanical systems (MEMS) devices and organic light-emitting diode (OLED) devices.

Pulsar's technology is further strengthened by the company's MicroChemistry group, which invented ALD. ASM is becoming increasingly focused on the exploration and delivery of new materials that can be deposited using Pulsar's ALD technology. The company's ability to fine tune materials for specific applications allows its customers to shrink transistors with simple scaling, while the development of new high-k materials will enable the extension of ALD for many process nodes.

About ASM
ASM International N.V. and its subsidiaries design and manufacture equipment and materials used to produce semiconductor devices. The company provides production solutions for wafer processing (Front-end segment) as well as assembly and packaging (Back-end segment) through facilities in the United States, Europe, Japan and Asia. ASM International's common stock trades on NASDAQ (symbol ASMI) and the Euronext Amsterdam Stock Exchange (symbol ASM). For more information, visit ASMI's web site at www.asm.com



Beperkte weergave !
Leden hebben toegang tot meer informatie! Omdat u nog geen lid bent of niet staat ingelogd, ziet u nu een beperktere pagina. Wordt daarom GRATIS Lid of login met uw wachtwoord


Copyrights © 2000 by XEA.nl all rights reserved
Niets mag zonder toestemming van de redactie worden gekopieerd, linken naar deze pagina is wel toegestaan.


Copyrights © DEBELEGGERSADVISEUR.NL