ASM International N.V. introduces New High Productivity Epitaxy, PEALD and PECVD Systems

Alleen voor leden beschikbaar, wordt daarom gratis lid!

Beleggingsadvies 11/07/2012 07:39
ALMERE, The Netherlands - July 10, 2012 - ASM International N.V. (NASDAQ: ASMI and Euronext Exchange in Amsterdam: ASM), today launched two new advanced deposition systems. The new systems deliver industry-leading process performance for epitaxy, plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD), targeting 300 mm high volume manufacturing (HVM).

First, the company introduced the Intrepid(TM) XP, a single wafer Epi process tool that can be configured with up to four epitaxy reactor modules. The Intrepid(TM) XP deposits advanced strained silicon based Epi films that are used to lower power consumption in CMOS transistors at 20nm and beyond.

"Intrepid(TM) combines our advanced and proprietary transistor Epi technology that we have been developing in recent years, with ASM's multi-chamber XP platform. We have improved every aspect of ASM's pioneering Epi equipment and created a tool extendible to future 3D transistor technologies" said Peo Hansson, General Manager of ASM's Thermal Products Business Unit.

Second, ASM also introduced its XP8 system, a high productivity single wafer process tool, for both PEALD and PECVD applications. XP8 accommodates up to 8 chambers for PEALD or PECVD, which can also be integrated together on the same platform.

"As more layers require the control and conformality of PEALD, as well as the high throughput of PECVD, the high productivity of the XP8 is critical to ensure our customers maintain acceptable cost per wafer," said Tominori Yoshida, general manager of ASM's Plasma Products Business Unit.

PEALD and PECVD chambers can be clustered on the XP8 to target double and quadruple patterning spacers, low-k, and other applications.

"ASM has been recognized for bringing to market innovative process technologies that drive next-generation devices," said Chuck del Prado, President and Chief Executive Officer of ASM International. "At the same time, manufacturability and productivity are key requirements for wide-scale adoption of these process innovations. The new systems released on our XP and XP8 platforms complement our leadership in process performance with high productivity."

About ASM
ASM International N.V. and its subsidiaries design and manufacture equipment and materials used to produce semiconductor devices. The company provides production solutions for wafer processing (Front-end segment) as well as assembly and packaging (Back-end segment) through facilities in the United States, Europe, Japan and Asia. ASM International's common stock trades on NASDAQ (symbol ASMI) and the Euronext Amsterdam Stock Exchange (symbol ASM). For more information, visit ASMI's web site at www.asm.com.






Beperkte weergave !
Leden hebben toegang tot meer informatie! Omdat u nog geen lid bent of niet staat ingelogd, ziet u nu een beperktere pagina. Wordt daarom GRATIS Lid of login met uw wachtwoord


Copyrights © 2000 by XEA.nl all rights reserved
Niets mag zonder toestemming van de redactie worden gekopieerd, linken naar deze pagina is wel toegestaan.


Copyrights © DEBELEGGERSADVISEUR.NL